Four probe, In Situ electrical characterization of dopant structures in silicon

Download files
Access & Terms of Use
open access
Copyright: Polley, Craig
Altmetric
Abstract
In this thesis we demonstrate the in situ electrical characterization of planar, high concentration phosphorus layers in silicon close to the silicon-vacuum interface. To achieve this we have used an ultra-high vacuum four-probe scanning tunneling microscope(STM) in combination with a gaseous molecular dopant precursor (PH3) and a silicon sublimation source for epitaxial encapsulation. Initially we investigate and improve ex situ Ohmic contact formation to highly phosphorus doped silicon using nickel silicide rather than aluminium. We demonstrate improved reliability, depth control and the elimination of superconducting artifacts. We develop a comprehensive understanding of how an in situ four-probe scanning tunneling microscope system can be applied for the measurement of electrical resistivity, both for bulk doped substrates and near-surface δ-doping profiles. Through extensive measurements we show that the sheet resistance of δ-doping layers can be reliably and unambiguously characterized, even at room temperature using conductive substrates. Building on this, we then investigate the technologically relevant topic of how the resistivity of these degenerate 2D doping layers evolves as a function of their depth from the silicon-vacuum interface. We observe Ohmic conduction at depths as low as 0.5 nm, with a resistivity which sharply decreases from ≈24 kΩ/square until saturating at ≈550 Ω/square for depths beyond approximately 20 nm. Importantly, the sheet resistances we obtain at the depths we obtain them surpass all currently projected requirements from the International Technology Roadmap for Semiconductors (ITRS) for ultra-shallow junctions. We are able to explain the depth dependence with a conductivity model incorporating a finite segregation length of the grown layer combined with surface scattering. Finally we extend this four-probe work towards the measurement of STM patterned dopant regions close to the interface. We obtain preliminary one-, two- and four-terminal resistance measurements on micrometer scale lithographically defined dopant patches. We highlight the challenges in such an endeavour, and show that probe-to-sample conductance measurements provide an unambiguous test of positioning accuracy. Combined, using a four-probe STM we have built a solid experimental grounding for the understanding and further exploration of near-surface dopant structures in silicon.
Persistent link to this record
Link to Publisher Version
Link to Open Access Version
Additional Link
Author(s)
Polley, Craig
Supervisor(s)
Simmons, Michelle
Creator(s)
Editor(s)
Translator(s)
Curator(s)
Designer(s)
Arranger(s)
Composer(s)
Recordist(s)
Conference Proceedings Editor(s)
Other Contributor(s)
Corporate/Industry Contributor(s)
Publication Year
2012
Resource Type
Thesis
Degree Type
PhD Doctorate
UNSW Faculty
Files
download whole.pdf 8.63 MB Adobe Portable Document Format
Related dataset(s)